Format Registry Coverage Overlaps by known extensions
The following table groups indicates the relative coverage between the different registries, in terms of known file extensions. The list is sorted by the number of file extensions in each group.
Sets of extensions that are uniquely known to a single source are highlighted in yellow. The set of extensions known to all sources is highlighted in green.
An appealing but ultimately flawed Venn diagram is also available.
*.schlib,
*.fr3,
*.shtmbr,
*.gcat,
*.frp,
*.cdz,
*.ev2,
*.frz,
*.cdb,
*.cdd,
*.fro,
*.cdo,
*.cdl,
*.cdm,
*.uvo,
*.mgt,
*.eopm,
*.mgf,
*.mgc,
*.mgb,
*.vrf,
*.xamlx,
*.vro,
*.rsm,
*.vrt,
*.evy,
*.rsg,
*.pd3,
*.nov,
*.not,
*.txm,
*.noa,
*.pdi,
*.wpi,
*.pdm,
*.qsys,
*.wowproj,
*.sdlxliff,
*.t0*,
*.gfar,
*.aq1,
*.jks,
*.cdw,
*.g99,
*.ev3,
*.{sa}proj,
*.aei,
*.aeh,
*.db_info,
*.frf,
*.cdrzip,
*.l2r,
*.frl,
*.odv,
*.vz,
*.camrec,
*.vf,
*.vd,
*.pnach,
*.adif,
*.mcmd,
*.ivr,
*.mscx,
*.ivf,
*.ive,
*.rkg,
*.rkp,
*.smus,
*.grid,
*.spidersolitairesave-ms,
*.wallet,
*.r3p,
*.rjs,
*.omfi,
*.bli,
*.lzc,
*.lza,
*.blz,
*.blp,
*.blu,
*.etd,
*.eta,
*.cf1,
*.cf2,
*.5vw,
*.fpr,
*.cfl,
*.fpk,
*.utx,
*.vp3,
*.vp6,
*.uti,
*.mer,
*.mew,
*.mex,
*.purbleshopsave-ms,
*.meb,
*.rmskin,
*.mem,
*.vpk,
*.save,
*.vpn,
*.vpp,
*.vpu,
*.vpt,
*.me3,
*.nma,
*.gsd,
*.gsb,
*.nmf,
*.gsn,
*.gsi,
*.gss,
*.gsr,
*.nmv,
*.pfv,
*.nm2,
*.pfd,
*.wrk,
*.pfi,
*.nx1,
*.lbs,
*.hsb,
*.tzip,
*.wotreplay,
*.artborder,
*.opml,
*.klip,
*.vm1,
*.j2b,
*.ffdata,
*.vmx,
*.vmv,
*.vmo,
*.vmc,
*.vmd,
*.vmg,
*.mmsw,
*.akm,
*.xs4,
*.xs5,
*.xs3,
*.akt,
*.ofw,
*.apcdoc,
*.ofc,
*.ofm,
*.xsn,
*.ozfx3,
*.xa,
*.wpj,
*.pdo,
*.wpa,
*.pdg,
*.ita,
*.itc,
*.yka,
*.wpw,
*.b1n,
*.vmcx,
*.ld2,
*.zjs,
*.dti,
*.dtk,
*.dtf,
*.dtc,
*.echr,
*.nepprj,
*.tr3,
*.ldw,
*.ratdvd,
*.ldr,
*.hhp,
*.egisenc,
*.erx,
*.er1,
*.dim,
*.mvex,
*.fzip,
*.fvf,
*.pwb,
*.urf,
*.xcscheme,
*.mcp,
*.mcl,
*.mco,
*.watch,
*.mcf,
*.pwl,
*.vvc,
*.mc9,
*.cre,
*.ncb,
*.ncc,
*.ncs,
*.ncp,
*.rttex,
*.lyt,
*.xmfg,
*.phv,
*.phr,
*.pho,
*.phn,
*.phj,
*.phd,
*.phf,
*.lyx,
*.spvchain,
*.hus,
*.crs,
*.hud,
*.xferd,
*.schdoc,
*.jg6,
*.brsar,
*.rvproj,
*.aia,
*.aip,
*.aiu,
*.lrtemplate,
*.ticart,
*.bwg,
*.bwe,
*.xui,
*.bww,
*.bws,
*.zl,
*.attr,
*.otrkey,
*.cinema4d,
*.umd,
*.wings,
*.olrw,
*.spinput,
*.plan,
*.fss,
*.cgp,
*.dvs,
*.dvr,
*.dva,
*.dvg,
*.control,
*.bho,
*.lfd,
*.bhf,
*.lfm,
*.epm,
*.tvc,
*.epf,
*.hjt,
*.epp,
*.ftr,
*.tv4,
*.maf,
*.mad,
*.upp,
*.mal,
*.titape,
*.m64,
*.upd,
*.maz,
*.shipsection,
*.vts,
*.vtr,
*.vtp,
*.up3,
*.lpd,
*.vti,
*.nar,
*.nas,
*.calc,
*.nab,
*.bfn,
*.lpu,
*.tno,
*.sgriddler,
*.eprt,
*.nvram,
*.qli,
*.vpdb,
*.qlb,
*.rels,
*.imw,
*.imz,
*.ime,
*.imo,
*.imn,
*.scene,
*.jef,
*.aef,
*.wdproj,
*.bsdl,
*.vmxf,
*.chr0,
*.gambas,
*.ob3,
*.aop,
*.aos,
*.cbxml,
*.aof,
*.aoi,
*.aom,
*.obp,
*.xwb,
*.nvf,
*.xwf,
*.p2g,
*.p2f,
*.xwp,
*.xws,
*.xpdl,
*.pru2,
*.ircp,
*.rus,
*.run,
*.yog,
*.sqm,
*.rcad,
*.sqr,
*.pblib,
*.dpc,
*.dpd,
*.dpf,
*.dpk,
*.dpl,
*.dps,
*.zfx,
*.zx82,
*.clg,
*.appxbundle,
*.env,
*.tpx,
*.tpz,
*.hlx,
*.enb,
*.enc,
*.tpg,
*.tpf,
*.fz4,
*.fz2,
*.ms10,
*.narc,
*.tp3,
*.tp4,
*.fza,
*.qmbl,
*.fzz,
*.fzp,
*.hl7,
*.pex,
*.cndf,
*.skein,
*.mou,
*.mot,
*.mop,
*.azw1,
*.zvr,
*.pmlz,
*.ngc,
*.ngd,
*.are,
*.ple,
*.plg,
*.arn,
*.dxtheme,
*.wxn,
*.pln,
*.wxp,
*.arp,
*.arx,
*.dsft,
*.xgmml,
*.paq8l,
*.qrs,
*.qrp,
*.qrm,
*.srep,
*.icr,
*.ica,
*.mscz,
*.gmanifest,
*.jcb,
*.jcc,
*.jce,
*.jcp,
*.stwm,
*.aero,
*.pbxproj,
*.amx,
*.p41,
*.amv,
*.amt,
*.aml,
*.bs4,
*.searchconnector-ms,
*.~i,
*.p4x,
*.ggr,
*.bsc,
*.bsz,
*.ggp,
*.tmd,
*.tmc,
*.tms,
*.tmx,
*.dsw,
*.tm2,
*.f02,
*.f01,
*.rsy,
*.rsp,
*.csw,
*.rso,
*.csm,
*.uir,
*.ssc,
*.ssa,
*.ssp,
*.sst,
*.drn,
*.drl,
*.gdt,
*.zdx,
*.nxv,
*.gdp,
*.spfx,
*.dru,
*.zdp,
*.w2m,
*.m3u8,
*.sfpack,
*.merlin2,
*.cpck,
*.yafa,
*.gd2,
*.mppz,
*.fbp7,
*.trx,
*.trv,
*.els,
*.hnd,
*.trk,
*.eli,
*.eld,
*.trf,
*.trd,
*.trc,
*.ela,
*.obpack,
*.sndt,
*.fxo,
*.fxb,
*.fxg,
*.fxr,
*.ms3d,
*.mmo,
*.mml,
*.mmz,
*.mmw,
*.m2i,
*.civ5save,
*.mm8,
*.vxl,
*.m2s,
*.artask,
*.nes,
*.net,
*.neu,
*.nec,
*.apn,
*.api,
*.wzd,
*.pna,
*.apc,
*.apv,
*.glue,
*.wlmp,
*.8*,
*.ekb,
*.cmproj,
*.iaf,
*.gdfmakerproject,
*.jap,
*.jas,
*.xbrl,
*.3doku,
*.pbu,
*.ilda,
*.p61,
*.p60,
*.rbs,
*.o3m,
*.r3a,
*.xks,
*.top,
*.doczip,
*.paq8jc,
*.paq8jd,
*.cub,
*.cui,
*.stage,
*.to4,
*.sua,
*.e2p,
*.sui,
*.sum,
*.suo,
*.dlt,
*.gfi,
*.gfa,
*.dlg,
*.dlc,
*.gfs,
*.sfvidcap,
*.midnam,
*.gid,
*.gig,
*.lzs,
*.a5p,
*.blt,
*.ejp,
*.sheet,
*.cmml,
*.a5wcmp,
*.hash,
*.fbrb,
*.cbds,
*.m01,
*.developerprofile,
*.mkf,
*.mmd0,
*.mmd1,
*.mmd2,
*.mmd3,
*.crysisjmsf,
*.mk2,
*.mmdb,
*.mmdc,
*.wz,
*.avj,
*.wdw,
*.ppv,
*.wdr,
*.wds,
*.ppr,
*.avb,
*.avc,
*.wdz,
*.wdx,
*.wdy,
*.wdf,
*.wdg,
*.wde,
*.avr,
*.wdk,
*.ppk,
*.wdi,
*.sgpbprj,
*.chesstitanssave-ms,
*.d$$,
*.catalog,
*.mfil,
*.lg32,
*.igc,
*.igi,
*.brres,
*.igm,
*.qvw,
*.igr,
*.dldi,
*.shv,
*.shr,
*.shs,
*.stsg,
*.b1,
*.b8,
*.mode2v3,
*.avro,
*.fpf,
*.lxfml,
*.airports,
*.xmv,
*.xmu,
*.pch2,
*.btapp,
*.fpl,
*.xmd,
*.graffle,
*.tii,
*.tib,
*.tid,
*.tiz,
*.fc7,
*.tis,
*.tip,
*.aswcs,
*.fcf,
*.fcd,
*.fca,
*.fcl,
*.cwd,
*.fcw,
*.fcs,
*.fcp,
*.awm,
*.cws,
*.cwr,
*.cals,
*.uez,
*.mvd,
*.mve,
*.mvc,
*.dfxml,
*.mva,
*.mvm,
*.mvs,
*.keystore,
*.sws,
*.mv3,
*.swg,
*.swd,
*.swc,
*.kdx,
*.kds,
*.kdh,
*.kdb,
*.dnp,
*.dnt,
*.dnh,
*.dnm,
*.dnl,
*.cdcom,
*.curxptheme,
*.wrf,
*.hbk,
*.vpj,
*.vstpreset,
*.2img,
*.camproj,
*.mis,
*.mio,
*.mig,
*.mia,
*.scriptterminology,
*.nvdl,
*.tbasicx,
*.prs,
*.wfx,
*.prz,
*.prx,
*.wfm,
*.ath,
*.adu,
*.gdraw,
*.litemod,
*.wf1,
*.pdfxml,
*.qtp,
*.qtz,
*.iem,
*.ies,
*.c10,
*.hne,
*.as2proj,
*.nmsv,
*.lvlx,
*.dz,
*.xdxf,
*.dr,
*.ds,
*.dl,
*.amad,
*.tkn,
*.xadml,
*.form,
*.tk3,
*.fav,
*.pfg,
*.jdbg,
*.mtk,
*.mtd,
*.mte,
*.mtf,
*.jgcscs,
*.sgpg,
*.mtx,
*.mtz,
*.d2v,
*.mtw,
*.mtp,
*.pfl,
*.d2s,
*.gds,
*.pigm,
*.kfm,
*.kfn,
*.jsxbin,
*.gbx,
*.nrv,
*.gba,
*.nri,
*.gbi,
*.nrg,
*.nra,
*.nr3,
*.zsync,
*.uce,
*.ucf,
*.uci,
*.ucm,
*.ucs,
*.uct,
*.cdf-ms,
*.phprj,
*.albm,
*.aaui,
*.zap,
*.fpage,
*.vbr,
*.vbl,
*.solitairesave-ms,
*.gslides,
*.eff,
*.efg,
*.bms,
*.efx,
*.eft,
*.efp,
*.vbox,
*.ctg,
*.azz,
*.ctd,
*.azx,
*.pts,
*.ptk,
*.pto,
*.ptn,
*.ptb,
*.ptf,
*.glox,
*.qzd,
*.qze,
*.qzs,
*.4db,
*.take,
*.gadget,
*.c3d,
*.rfl,
*.rfo,
*.rfn,
*.rfp,
*.rfx,
*.athtune,
*.c32,
*.t2k,
*.rtab,
*.s3i,
*.s3d,
*.sln,
*.sll,
*.sle,
*.slx,
*.hlf,
*.fg,
*.fb,
*.look,
*.fz,
*.xaf,
*.topprj,
*.blob,
*.ter,
*.tec,
*.ckt,
*.i3d,
*.i3f,
*.ckf,
*.ckd,
*.mrk,
*.fuchs,
*.mrt,
*.pando,
*.prjpcb,
*.imelody,
*.crysispsf,
*.npp,
*.npk,
*.qfilter,
*.npl,
*.gla,
*.uae,
*.uap,
*.cdpz,
*.luar,
*.djr,
*.djx,
*.jig,
*.ppx,
*.eobj,
*.sbpf,
*.egrp,
*.avp,
*.hfe,
*.hfa,
*.edf,
*.edg,
*.hfz,
*.edi,
*.wba,
*.pve,
*.pva,
*.pvn,
*.pvm,
*.pvr,
*.axr,
*.pvp,
*.osc,
*.osb,
*.osu,
*.osp,
*.8bf,
*.s2ma,
*.s2mh,
*.s2ml,
*.qxm,
*.evtx,
*.rdl,
*.rds,
*.rdp,
*.rdw,
*.clbx,
*.agtemplate,
*.sn1,
*.post,
*.snp,
*.vmlt,
*.sns,
*.snx,
*.sna,
*.snb,
*.snc,
*.snl,
*.snm,
*.zyx,
*.mskin,
*.ht,
*.mcml,
*.hv,
*.xcr,
*.a4r,
*.mgourmet3,
*.cmv,
*.dfs,
*.fed,
*.cma,
*.cmb,
*.cmg,
*.mps,
*.mpz,
*.mpb,
*.mpd,
*.mpf,
*.mpi,
*.mpj,
*.xsa,
*.tgd,
*.tgf,
*.tgc,
*.tgo,
*.tgv,
*.tgw,
*.cl2arc,
*.sltng,
*.ttkgp,
*.qcf,
*.site,
*.mfcribbon-ms,
*.777,
*.mxtx,
*.nvc,
*.nvb,
*.ddj,
*.gnt,
*.ddy,
*.ddp,
*.3dxml,
*.vfz,
*.m8m,
*.vfs,
*.vft,
*.xpaddercontroller,
*.useq,
*.user,
*.hxt,
*.ebp,
*.hxs,
*.ebx,
*.ebc,
*.hxn,
*.ebo,
*.pxl,
*.wlk,
*.pxf,
*.ntm,
*.pxy,
*.wlx,
*.wls,
*.omx,
*.ds2res,
*.rb4,
*.t2flow,
*.fact,
*.gocad,
*.rbc,
*.rbt,
*.lsproj,
*.kadf,
*.itm,
*.jwl,
*.jwc,
*.jwr,
*.suite,
*.sesx,
*.mdw,
*.zws,
*.jo,
*.jt,
*.xep,
*.xex,
*.xeb,
*.bgl,
*.crypt,
*.lqt,
*.cryptomite,
*.gax,
*.tbb,
*.pkproj,
*.encrypted,
*.pumpkin,
*.klq,
*.ra3replay,
*.kla,
*.qtch,
*.jclic,
*.ppenc,
*.qar,
*.qat,
*.nbi,
*.zuml,
*.nt3,
*.tbasiccx,
*.a4p,
*.dfi,
*.ntk,
*.nth,
*.sc2replay,
*.gho,
*.ghs,
*.ntw,
*.ntt,
*.dfw,
*.dfu,
*.ntp,
*.vdf,
*.vdb,
*.xbel,
*.vdk,
*.nbu,
*.st1h,
*.v3o,
*.hzp,
*.hzf,
*.pza,
*.pzd,
*.pzp,
*.wnk,
*.oop,
*.xzp,
*.graphml,
*.pz3,
*.pz2,
*.g02,
*.g01,
*.bd,
*.emulecollection,
*.sbc,
*.sbw,
*.sbz,
*.ksc,
*.ksf,
*.ksl,
*.ksv,
*.lp,
*.lw,
*.lb,
*.sv2i,
*.xgr,
*.xgs,
*.bootskin,
*.lsc,
*.lsd,
*.bed,
*.bee,
*.reapeaks,
*.fid,
*.cai,
*.cak,
*.fic,
*.fil,
*.cad,
*.fin,
*.fih,
*.fiv,
*.fip,
*.caz,
*.n2v,
*.n2p,
*.plsc,
*.plsk,
*.nrkt,
*.knt,
*.knw,
*.tcn,
*.tcd,
*.tcx,
*.tcr,
*.tcw,
*.ldx,
*.wup,
*.xmod,
*.apdisk,
*.ucls,
*.x_t,
*.x_b,
*.skyt,
*.uxdc,
*.d3dbsp,
*.cwp,
*.ttx,
*.mse-set,
*.jnb,
*.jnt,
*.xise,
*.bvd,
*.vegaswindowlayout,
*.scn0,
*.whx,
*.aby,
*.abf,
*.aba,
*.abm,
*.qf,
*.qm,
*.oiv,
*.qz,
*.qx,
*.ab1,
*.unity3d,
*.cmbl,
*.q1,
*.ism,
*.isf,
*.isz,
*.isu,
*.notebook,
*.yrp,
*.rnd,
*.psproj,
*.mse-installer,
*.jsf,
*.jsd,
*.sdi,
*.nz,
*.nv,
*.appup,
*.ng,
*.bcs,
*.bcp,
*.bck,
*.bca,
*.bcf,
*.b6t,
*.mogg,
*.ccf,
*.ccd,
*.fos,
*.fot,
*.ccs,
*.fox,
*.ncgr,
*.zsg,
*.autoplay,
*.feedback,
*.depot,
*.lsz,
*.eyb,
*.tngz,
*.pag,
*.pae,
*.wwp,
*.ezlog,
*.smpd,
*.mbdx,
*.mzf,
*.mzx,
*.xaiml,
*.dbs,
*.dbr,
*.gtx,
*.dbo,
*.dbi,
*.bdic,
*.dbd,
*.dba,
*.kodu,
*.wjf,
*.sm,
*.sa,
*.cam,
*.fib,
*.caj,
*.cag,
*.s2,
*.circuit,
*.shprst,
*.webpart,
*.ypr,
*.clmov,
*.rli,
*.rll,
*.rlg,
*.rlf,
*.3db,
*.3dr,
*.e4mod,
*.kw3,
*.droid,
*.dwl2,
*.sfk,
*.sfb,
*.kws,
*.kwo,
*.pbxuser,
*.vsqx,
*.pcbdoc,
*.bad,
*.icpr,
*.bam,
*.bav,
*.baw,
*.x64,
*.asvf,
*.cboard,
*.phx,
*.fmk,
*.fmb,
*.fmx,
*.ceb,
*.ced,
*.ceg,
*.cef,
*.fms,
*.screem,
*.planner,
*.ncer,
*.ce1,
*.ce2,
*.eclipseproduct,
*.h8t,
*.rav,
*.ac2,
*.phc,
*.bidule,
*.hipc,
*.egon,
*.ewb,
*.pco,
*.pch,
*.pcg,
*.pcu,
*.pcv,
*.csplan,
*.crypt7,
*.companylogo,
*.webhistory,
*.tmproj,
*.apnx,
*.pnml,
*.mxm,
*.dbg,
*.mxp,
*.livecode,
*.vthought,
*.gvp,
*.nnt,
*.dplsave,
*.wiq,
*.vnt,
*.l4d,
*.g3a,
*.xtodvd,
*.plp,
*.hpi,
*.htmlz,
*.hpd,
*.v5d,
*.slogo,
*.wif,
*.xp2,
*.afl,
*.oes,
*.miz,
*.aci,
*.bkg,
*.xpo,
*.emul,
*.xpf,
*.xpa,
*.iws,
*.player,
*.xsiaddon,
*.iwd,
*.cxf,
*.cxi,
*.rjp,
*.iw2,
*.unr,
*.query,
*.a2theme,
*.msdvd,
*.bom,
*.boa,
*.bot,
*.b2z,
*.fsp,
*.cgt,
*.cgr,
*.fsy,
*.fsf,
*.fsn,
*.cgf,
*.dgml,
*.zot,
*.r2p,
*.r2s,
*.r2a,
*.vso,
*.vsz,
*.sparc,
*.stuff,
*.btpc,
*.euf,
*.pek,
*.pec,
*.peg,
*.pez,
*.wse,
*.paq8o,
*.ps16,
*.paq8f,
*.pe4,
*.mft,
*.mfl,
*.gp3,
*.gp5,
*.nftr,
*.themepack,
*.atp,
*.gpr,
*.gpj,
*.gpi,
*.gpm,
*.gpg,
*.gpd,
*.vlx,
*.vlm,
*.jhm,
*.vlc,
*.vla,
*.ata,
*.hrf,
*.hru,
*.cddx,
*.cdds,
*.atm,
*.comicdoc,
*.tifile,
*.appxmanifest,
*.wn,
*.xrp,
*.xrc,
*.xrf,
*.vlcl,
*.awlive,
*.ium,
*.moflex,
*.czd,
*.ytf,
*.ytr,
*.mani,
*.badongo,
*.adl,
*.adm,
*.adr,
*.adt,
*.edge,
*.szx,
*.stat,
*.istg,
*.cc3d,
*.aic,
*.aig,
*.tifiles,
*.bmu,
*.bmd,
*.bmf,
*.bmg,
*.bma,
*.r01,
*.haptar,
*.zmx,
*.zmv,
*.zmi,
*.zmg,
*.zma,
*.vqf,
*.es2,
*.bwf,
*.esb,
*.esp,
*.ess,
*.esu,
*.est,
*.esy,
*.pgs,
*.d3d,
*.pgd,
*.md8,
*.md2,
*.md3,
*.uss,
*.usr,
*.ust,
*.usd,
*.mdv,
*.mdr,
*.mds,
*.mdd,
*.package,
*.mdf,
*.t3d,
*.nbm,
*.lib4d,
*.nbf,
*.grs,
*.grx,
*.grz,
*.htr,
*.reko,
*.avastsounds,
*.frec,
*.fred,
*.oad,
*.lpmd,
*.xtc,
*.bvh,
*.xtp,
*.xtr,
*.yc,
*.purblepairssave-ms,
*.vlab,
*.rvf,
*.rvl,
*.flame,
*.ajp,
*.argo,
*.odcl,
*.lighthouse-project,
*.ed2k,
*.prproj,
*.ebs2,
*.gcs,
*.mode1v3,
*.bkr,
*.lef,
*.cube,
*.bkf,
*.bki,
*.pcblib,
*.uni,
*.olsr,
*.dof,
*.drz,
*.fwk,
*.zvpl,
*.nupkg,
*.easm,
*.dur,
*.ump,
*.duc,
*.gzip,
*.vwf,
*.hin,
*.eqf,
*.hiv,
*.hir,
*.hip,
*.contact,
*.piv,
*.vcproj,
*.swish,
*.mbp,
*.mbi,
*.mbf,
*.mbd,
*.inp,
*.int,
*.rxdata,
*.jdx,
*.jdt,
*.txtdt,
*.nunit,
*.uskn,
*.chl,
*.hvl,
*.qmg,
*.oct,
*.oci,
*.ocf,
*.ocd,
*.occ,
*.ocb,
*.btd,
*.btx,
*.dh,
*.weap,
*.prtl,
*.keyring,
*.rts,
*.rtz,
*.rtd,
*.rtl,
*.zcfg,
*.ahf,
*.wixobj,
*.rns,
*.tku,
*.bix,
*.biz,
*.lgx,
*.bzip2,
*.bio,
*.opps3,
*.tvgskin,
*.ulp,
*.tkc,
*.fup,
*.fus,
*.dwd,
*.dwa,
*.dwn,
*.dwp,
*.zix,
*.wsstyles,
*.dpt,
*.minincsf,
*.tww,
*.eop,
*.logonvista,
*.twf,
*.eoc,
*.twl,
*.xmct,
*.tk1,
*.ilx,
*.ild,
*.ilk,
*.ilm,
*.xtreme,
*.smol,
*.pk3,
*.il3,
*.nfz,
*.fidx,
*.nfc,
*.nfg,
*.pke,
*.wyg,
*.pkm,
*.pkn,
*.pkv,
*.ass,
*.asy,
*.tvs,
*.epw,
*.btg,
*.qsu,
*.nanr,
*.jceks,
*.qsk,
*.brn,
*.p3t,
*.brc,
*.is20,
*.brt,
*.sdinstall,
*.mell,
*.ffna,
*.jbi,
*.br6,
*.cpv,
*.an8,
*.bndl,
*.rrh,
*.cpj,
*.cpk,
*.rra,
*.cpe,
*.ikmp,
*.kraw,
*.any,
*.ana,
*.rodl,
*.ank,
*.anl,
*.spj,
*.sph,
*.spe,
*.tl5,
*.joboptions,
*.spr,
*.kat,
*.kaw,
*.tlb,
*.tlo,
*.tlk,
*.tlp,
*.metalink,
*.4pk,
*.tlx,
*.crash,
*.lrprev,
*.lay,
*.lab,
*.360,
*.vvvvvv,
*.trelby,
*.cbcx,
*.ove,
*.w3z,
*.w3g,
*.zgt,
*.psy,
*.wixlib,
*.ftxt,
*.h2o,
*.m3g,
*.h2p,
*.unityproj,
*.ems,
*.hmk,
*.emy,
*.modfem,
*.emb,
*.hmp,
*.hmw,
*.hmt,
*.psa,
*.smzip,
*.apxl,
*.mnd,
*.bluej,
*.gbc,
*.gbd,
*.ibp,
*.wowsl,
*.epitask,
*.pm0,
*.ndm,
*.gxf,
*.gxd,
*.gxk,
*.ndb,
*.pmf,
*.pmg,
*.pme,
*.aqm,
*.ewprj,
*.xsb,
*.kdbx,
*.y4m,
*.perspective,
*.602,
*.grindx,
*.wss,
*.bpr,
*.clr0,
*.bpd,
*.p50,
*.automaticdestinations-ms,
*.crf,
*.rpc,
*.crb,
*.crc,
*.rpl,
*.asef,
*.cru,
*.rpt,
*.rps,
*.czip,
*.rpp,
*.rdoc_options,
*.ozf2,
*.rp2,
*.rp9,
*.alx,
*.edoc,
*.kch,
*.kcm,
*.tns,
*.u3p,
*.acbm,
*.omod,
*.mbsa,
*.sqlproj,
*.ds1,
*.zet,
*.dsm,
*.dsn,
*.dsa,
*.nyf,
*.dse,
*.dsy,
*.zeg,
*.zel,
*.dsr,
*.dst,
*.pssg,
*.hproj,
*.perspectivev3,
*.arch00,
*.m15,
*.hog,
*.tsc,
*.ozv,
*.guikit,
*.ozf,
*.xds,
*.mls,
*.mlf,
*.cmmf,
*.mlb,
*.mlm,
*.bmml,
*.bdsproj,
*.vym,
*.txtz,
*.enc1,
*.session,
*.gza,
*.pol,
*.awl,
*.awa,
*.pof,
*.wed,
*.wea,
*.pos,
*.sif,
*.sia,
*.sim,
*.speccy,
*.six,
*.999,
*.qws,
*.qwk,
*.vmlf,
*.ap,
*.lgp,
*.ay,
*.ax,
*.viivo,
*.ab,
*.embl,
*.ak,
*.comiclife,
*.o2c,
*.lhts,
*.xlo,
*.multisample,
*.chz,
*.apalbum,
*.fbf,
*.ctf,
*.ybk,
*.fbc,
*.fbl,
*.cti,
*.fbi,
*.ctl,
*.cts,
*.ctw,
*.ctt,
*.ybs,
*.chk,
*.vsto,
*.stt,
*.stu,
*.sto,
*.kes,
*.st2,
*.st3,
*.st*,
*.thp,
*.thr,
*.vsdisco,
*.lmk,
*.lme,
*.lma,
*.mw4,
*.lmx,
*.mw2,
*.lmu,
*.jrxml,
*.deployproj,
*.mwb,
*.mwl,
*.mwp,
*.mws,
*.ufi,
*.ufa,
*.ga3,
*.snag,
*.fzbz,
*.dmt,
*.dmu,
*.gal,
*.gan,
*.gab,
*.dmz,
*.dmx,
*.dmd,
*.dmb,
*.dmm,
*.gau,
*.dmi,
*.efe,
*.eio,
*.eit,
*.hap,
*.prfpset,
*.otz,
*.oto,
*.kin,
*.dtg,
*.irr,
*.mjp,
*.cmod,
*.terrain,
*.dwi,
*.wgs,
*.wgp,
*.aus,
*.aur,
*.wgz,
*.pqf,
*.auf,
*.ptp,
*.aum,
*.aui,
*.skc,
*.ski,
*.skn,
*.skl,
*.sks,
*.skr,
*.sky,
*.strm,
*.strc,
*.dwz,
*.scrivx,
*.qua,
*.ciso,
*.ifl,
*.aza,
*.xnk,
*.co,
*.cd,
*.c3,
*.amff,
*.cva,
*.cvd,
*.cvf,
*.cvp,
*.asif,
*.3th,
*.anbm,
*.svq,
*.svm,
*.mol2,
*.honmod,
*.tja,
*.tjn,
*.kvtml,
*.loc,
*.udd,
*.udb,
*.uds,
*.mug,
*.mum,
*.ms8,
*.symcache,
*.cbin,
*.a3d,
*.rgfx,
*.a3w,
*.pswx,
*.a3p,
*.gcw,
*.gcz,
*.gcg,
*.gcf,
*.zab,
*.dbb,
*.psw6,
*.twb,
*.antmpl,
*.depend,
*.hcg,
*.hcc,
*.hcp,
*.q3c,
*.q3o,
*.egp,
*.egr,
*.blue,
*.vort,
*.eg2,
*.mhk,
*.dream,
*.film,
*.cnc3replay,
*.muse,
*.dvtcolortheme,
*.psx,
*.psu,
*.psv,
*.psr,
*.pso,
*.psh,
*.psk,
*.wab,
*.ovx,
*.smm,
*.smo,
*.sme,
*.smd,
*.smw,
*.smv,
*.oppc,
*.idm,
*.idb,
*.ide,
*.bza,
*.ep,
*.et,
*.lpaq,
*.ea,
*.s00,
*.e3,
*.ns1,
*.chu,
*.ffl,
*.chp,
*.rzx,
*.ffe,
*.chx,
*.ffx,
*.chd,
*.ffp,
*.ffr,
*.maxc,
*.widget,
*.mp2s,
*.kexi,
*.ncsflib,
*.paq8o8,
*.msrcincident,
*.fdat,
*.ms9,
*.lim,
*.ac3d,
*.nsv,
*.msm,
*.arsc,
*.gmi,
*.gm4,
*.gm6,
*.gm2,
*.compositefont,
*.nsx,
*.gmv,
*.gmp,
*.gmo,
*.dii,
*.din,
*.nsk,
*.gmf,
*.dig,
*.sdata,
*.dxpack,
*.squashfs,
*.search-ms,
*.tnsp,
*.eyetvi,
*.eyetvr,
*.vc6,
*.vc4,
*.0,
*.ex4,
*.vce,
*.vcm,
*.rge,
*.rgp,
*.rgx,
*.dmsd,
*.op2,
*.mitsu,
*.enex,
*.3mm,
*.mc4d,
*.wcz,
*.wcx,
*.opc,
*.opd,
*.opk,
*.opi,
*.put,
*.ops,
*.vsct,
*.aym,
*.opx,
*.opy,
*.sos,
*.sor,
*.sof,
*.sol,
*.idol,
*.logonxp,
*.xbk,
*.lva,
*.bxl,
*.s20,
*.lvf,
*.ima4,
*.bxb,
*.xbe,
*.lvw,
*.xbs,
*.bxu,
*.pkr,
*.s2m,
*.s2k,
*.fdt,
*.fds,
*.fdp,
*.fdx,
*.rxm,
*.fdd,
*.ydl,
*.psafe3,
*.rbxm,
*.rxf,
*.fdm,
*.nzb,
*.g8,
*.zxs,
*.fd2,
*.zxp,
*.rx2,
*.zxe,
*.zxb,
*.gm,
*.binds,
*.f99,
*.tmpl,
*.breft,
*.breff,
*.jrprint,
*.eyetvp,
*.tfi,
*.lkd,
*.s2ql,
*.s2qh,
*.r2skin,
*.mqv,
*.mqp,
*.mqb,
*.mqo,
*.mql,
*.appx,
*.2da,
*.alias,
*.ubox,
*.nqi,
*.dks,
*.uccapilog,
*.goe,
*.a78,
*.jqz,
*.ecp,
*.ecf,
*.ecm,
*.ev3p,
*.hg1,
*.wldx,
*.rvproj2,
*.m99,
*.twbx,
*.vap,
*.vag,
*.fxcproj,
*.ref,
*.rez,
*.wm3,
*.sfz,
*.fb2k-component,
*.surf,
*.flipchart,
*.pwf,
*.wmp,
*.eng,
*.ssts,
*.lsim,
*.saz,
*.c4*,
*.tph,
*.tpk,
*.sac,
*.basin,
*.wvf,
*.ixl,
*.lpi,
*.xdr,
*.xdt,
*.img3,
*.bfx,
*.xdc,
*.bfa,
*.xdd,
*.xdi,
*.rgo,
*.jvx,
*.rgs,
*.clr,
*.clb,
*.clf,
*.mrp,
*.nclr,
*.pdsprj,
*.zvt,
*.cl4,
*.cl5,
*.zvd,
*.fzb,
*.000,
*.003,
*.is,
*.ip,
*.kmp,
*.kmy,
*.prf2,
*.webarchivexml,
*.8xu,
*.ppmod,
*.tidisk,
*.mon,
*.a5r,
*.d88,
*.nwp,
*.gir,
*.des,
*.xrm-ms,
*.gdws,
*.opj,
*.ddoc,
*.hyp,
*.sacred2save,
*.qbb,
*.opt,
*.qbw,
*.gfie,
*.eglib,
*.mellel,
*.vgz,
*.vgs,
*.vgm,
*.facet,
*.rcl,
*.rcd,
*.rcs,
*.wol,
*.wor,
*.wot,
*.olr,
*.nmea,
*.olf,
*.olb,
*.dazip,
*.scp,
*.scw,
*.kpp,
*.develve,
*.c64,
*.scf,
*.kpx,
*.mo3,
*.ifiction,
*.sc4,
*.bds,
*.bdr,
*.lrs,
*.xfr,
*.bdc,
*.xfm,
*.lrc,
*.xfd,
*.xfb,
*.bdl,
*.siag,
*.nexe,
*.ane,
*.jtd,
*.mdmp,
*.mpcpl,
*.fhf,
*.k7,
*.sims3pack,
*.fh*,
*.zth,
*.ztl,
*.layout,
*.kz,
*.ka,
*.ki,
*.anjuta,
*.ckbx,
*.kom,
*.tbm,
*.tbi,
*.tba,
*.tbv,
*.tbs,
*.tbp,
*.modd,
*.lwtp,
*.ccrf,
*.cnf,
*.cnt,
*.apkg,
*.1bk,
*.pll,
*.bgdb,
*.fcpxml,
*.ars,
*.lvm,
*.kal,
*.dgr,
*.dgs,
*.nup,
*.nuv,
*.jacksum,
*.ashprj,
*.buz2,
*.mdxml,
*.v00,
*.afdesign,
*.sdef,
*.veg,
*.vem,
*.s2a,
*.irrmesh,
*.ysp,
*.comfycakessave-ms,
*.csaplan,
*.g3d,
*.acp,
*.acs,
*.acr,
*.wix,
*.qp03,
*.wic,
*.acf,
*.uifile,
*.g3x,
*.pf,
*.pa,
*.ac_,
*.ond,
*.px,
*.pz,
*.a2menu,
*.sfark,
*.krc,
*.krz,
*.krt,
*.4cm,
*.craft,
*.adcp,
*.jr2,
*.bbf,
*.ymst,
*.jrc,
*.seg,
*.rxn,
*.sem,
*.sew,
*.sev,
*.rbxl,
*.rxe,
*.flow,
*.m2,
*.zgeproj,
*.acs3,
*.acs2,
*.mw,
*.mv,
*.mz,
*.genbank,
*.mc,
*.ezc,
*.ezp,
*.fnd,
*.dspackage,
*.fns,
*.dproj,
*.as3proj,
*.gbap,
*.uz2,
*.uz1,
*.uz4,
*.b**,
*.dav,
*.dax,
*.daa,
*.gul,
*.nkm,
*.gui,
*.545,
*.wtl,
*.wtv,
*.c3xml,
*.gq,
*.pgtb,
*.gramps,
*.uwf,
*.qfc,
*.ctxt,
*.axe,
*.wbf,
*.wbi,
*.edat2,
*.joy,
*.axt,
*.nscr,
*.caproj,
*.rot,
*.roq,
*.wk*,
*.l64,
*.roc,
*.r8,
*.wkz,
*.l6t,
*.wkf,
*.rt,
*.ktn,
*.w3m,
*.heartssave-ms,
*.kt3,
*.cmd5,
*.sga,
*.webtest,
*.mjpg,
*.zpp,
*.mcdx,
*.exb,
*.exm,
*.dark,
*.cbv,
*.fll,
*.cbp,
*.cbs,
*.fld,
*.amw,
*.cbf,
*.p40,
*.cbi,
*.rflw,
*.myo,
*.myr,
*.amxx,
*.gws,
*.gwp,
*.drpm,
*.dcu,
*.dcw,
*.gwi,
*.dcd,
*.dce,
*.dcf,
*.pbk,
*.pbr,
*.pbp,
*.pbw,
*.wvz,
*.dc3,
*.dc5,
*.core,
*.emi,
*.llsd,
*.rwsd,
*.bsn,
*.greenfoot,
*.fzpz,
*.catdrawing,
*.aimppl,
*.fcstd,
*.vip,
*.jmf,
*.jmg,
*.vix,
*.vid,
*.library-ms,
*.dvds,
*.sims2pack,
*.3ga,
*.maki,
*.t4,
*.shape,
*.agx,
*.agn,
*.dxs,
*.vsix,
*.tp,
*.wm2d,
*.swidtag,
*.ipt,
*.ipr,
*.ipx,
*.ipd,
*.ipe,
*.ipj,
*.rml,
*.cyp,
*.rmx,
*.b5t,
*.1sc,
*.cfdg,
*.pov-state,
*.dxm,
*.dxe,
*.a1wish,
*.zno,
*.civ5map,
*.lxf,
*.bnd,
*.lxo,
*.bnr
*.txz,
*.cdk,
*.frn,
*.mgr,
*.rsn,
*.d01,
*.d00,
*.wp2,
*.wp7,
*.wpb,
*.eva,
*.ibd,
*.playground,
*.kap.txt,
*.vot,
*.fc13,
*.fc14,
*.fra,
*.odl,
*.vt,
*.vs,
*.vp,
*.vo,
*.hgt,
*.yuv,
*.zlb,
*.lz4,
*.lzr,
*.lzp,
*.drv,
*.drt,
*.fpd,
*.vpb,
*.gsv,
*.isoimg,
*.pft,
*.wrz,
*.gs7,
*.gs3,
*.gs1,
*.gs8,
*.hst,
*.hs2,
*.iim,
*.j2k,
*.vmt,
*.128,
*.fen,
*.ofs,
*.off,
*.xe,
*.xb,
*.itz,
*.font,
*.its,
*.rip,
*.rir,
*.uot,
*.uop,
*.uos,
*.b16,
*.dtp,
*.ttd,
*.erv,
*.ttp,
*.ur2,
*.brik,
*.crp,
*.hut,
*.iob,
*.z2,
*.z3,
*.z1,
*.z6,
*.z7,
*.z4,
*.desc,
*.lock,
*.bcif,
*.shtml,
*.umz,
*.arff,
*.itbz,
*.sfx2,
*.tn1,
*.bhl,
*.lff,
*.epa,
*.tn4,
*.ftd,
*.ftb,
*.ftp,
*.apd,
*.ome.xml,
*.nam,
*.4q,
*.kiff,
*.aipd,
*.pja,
*.pjf,
*.im1,
*.im8,
*.imm,
*.!im,
*.p24,
*.xcodeproj,
*.obo,
*.bum,
*.f2r,
*.warts,
*.dylib,
*.9xi,
*.key.zip,
*.h2song,
*.tpi,
*.mom,
*.azw3,
*.pla,
*.plh,
*.arr,
*.usflib,
*.ic1,
*.ic3,
*.ic2,
*.qrt,
*.amz,
*.picio,
*.xir,
*.log1,
*.log2,
*.xim,
*.amos,
*.csr,
*.ftb-shm,
*.gdf,
*.gdi,
*.drs,
*.lbl,
*.82i,
*.lbt,
*.tru,
*.m2k,
*.pnl,
*.wzl,
*.pnd,
*.apa,
*.apx,
*.puma,
*.taac,
*.minipsf2,
*.xkm,
*.gifv,
*.adsc,
*.ugz,
*.ugi,
*.e24,
*.kbm,
*.icma,
*.?z?,
*.@@@,
*.mki,
*.shtm,
*.ppg,
*.ppn,
*.pp1,
*.ofip,
*.igb,
*.sha,
*.sho,
*.shi,
*.sht,
*.br,
*.ba,
*.bk,
*.bm,
*.f4m,
*.fco,
*.sas7dat,
*.ue2,
*.icon,
*.ljpg,
*.inf_loc,
*.grammar,
*.mic,
*.wfn,
*.atk,
*.glif,
*.at3,
*.pf2,
*.c16,
*.aoff,
*.xrnt,
*.xrns,
*.xrni,
*.dw,
*.fal,
*.cit,
*.cip,
*.faa,
*.fac,
*.fax,
*.gs6,
*.gs5,
*.type,
*.gs4,
*.gs2,
*.mtr,
*.gs9,
*.wbfs,
*.index,
*.ical,
*.uc0,
*.uca,
*.ucn,
*.a2f,
*.a2i,
*.a2t,
*.a2p,
*.flk,
*.vbe,
*.efi,
*.rc2,
*.ptr,
*.1tm,
*.pt1,
*.pt2,
*.abw.gz,
*.411,
*.slp,
*.fa,
*.lum,
*.ct3,
*.ome.tiff,
*.rkplr,
*.mrb,
*.mrf,
*.npr,
*.head,
*.numbers.zip,
*.avw,
*.edl,
*.hfs,
*.wbc,
*.axc,
*.wbp,
*.osd,
*.nmind,
*.rdi,
*.acorn,
*.pixi,
*.snn,
*.hf,
*.hr,
*.cm3,
*.i16,
*.cmy,
*.cmq,
*.cms,
*.cmr,
*.cmi,
*.mpv,
*.tg1,
*.mp+,
*.tgx,
*.rast,
*.jbig,
*.a64,
*.vitec,
*.ddi,
*.gns,
*.pxa,
*.pxs,
*.pxr,
*.xxe,
*.rbn,
*.x3dbz,
*.s7z,
*.sprite,
*.im32,
*.jj,
*.jc,
*.lqr,
*.wuffs,
*.cot,
*.mbfavs,
*.taf,
*.taz,
*.bdmv,
*.q4d,
*.pzl,
*.pzx,
*.pntg,
*.mzml,
*.pickle,
*.harp,
*.x2a,
*.sbm,
*.ksy,
*.sb0,
*.lsb,
*.bef,
*.lsm,
*.386,
*.ca1,
*.ca3,
*.ca2,
*.h2pattern,
*.arts,
*.ipuz,
*.seqbox,
*.device,
*.yal,
*.rsrc,
*.73i,
*.jsonlz4,
*.strings,
*.bson,
*.abc,
*.whl,
*.uew,
*.q4,
*.q0,
*.jst,
*.jsl,
*.sdg,
*.sdl,
*.sdm,
*.nt,
*.b6z,
*.ccm,
*.fop,
*.ccx,
*.vcdiff,
*.xga,
*.pa6,
*.pa7,
*.pa3,
*.pa8,
*.mzm,
*.zblorb,
*.86i,
*.sr,
*.heif,
*.heic,
*.ypc,
*.sfx,
*.kwi,
*.bar,
*.vcf2,
*.spif,
*.ac1,
*.pcm,
*.pcc,
*.d71,
*.pc1,
*.??$,
*.??@,
*.hpg,
*.xp0,
*.afa,
*.med4,
*.um,
*.zeno,
*.win,
*.iwa,
*.cvs,
*.deep,
*.psf1lib,
*.sx2,
*.89i,
*.bob,
*.cgx,
*.itgz,
*.vsx,
*.wsr,
*.pem,
*.uue,
*.paq8p,
*.cfs,
*.t12,
*.t10,
*.t11,
*.fchs,
*.nsfe,
*.drawit,
*.hrz,
*.wu,
*.l30,
*.md.html,
*.adg,
*.adv,
*.adz,
*.db-wal,
*.szt,
*.stem.mp4,
*.b00,
*.bmh,
*.esm,
*.doom,
*.pgx,
*.md0,
*.usm,
*.woff2,
*.nii.gz,
*.psfu,
*.fref,
*.113,
*.x3dvz,
*.uzed,
*.quorum,
*.lep,
*.abh,
*.amp.html,
*.dut,
*.tub,
*.vw3,
*.cbt,
*.pi8,
*.pi9,
*.pi6,
*.pi7,
*.pi4,
*.pi5,
*.pi2,
*.pi3,
*.pi1,
*.mbs,
*.fastq,
*.cloe,
*.3d,
*.btm,
*.ffli,
*.marc,
*.zipx,
*.bie,
*.ful,
*.fuz,
*.iage,
*.as4,
*.brk,
*.brf,
*.jb2,
*.bru,
*.jbr,
*.spu,
*.4pp,
*.tlg,
*.tlz,
*.f3b,
*.f3m,
*.ujg,
*.bsb.txt,
*.?y?,
*.vcard,
*.sbig,
*.hmr,
*.fuzz,
*.eygl,
*.gx2,
*.gx1,
*.ibi,
*.pm!,
*.pm$,
*.niff,
*.nds,
*.ndr,
*.vtx,
*.pmc,
*.pmi,
*.pmn,
*.pmq,
*.qqq,
*.musink,
*.p5p,
*.p5m,
*.rpf,
*.crg,
*.pixicode,
*.gendex.txt,
*.all,
*.srr,
*.tn3,
*.tn2,
*.sr5,
*.tnd,
*.sr8,
*.tny,
*.library,
*.cache,
*.rgba,
*.83i,
*.pjc,
*.m1a,
*.synu,
*.infl,
*.cabal,
*.awp,
*.aww,
*.sie,
*.sic,
*.af,
*.ctm,
*.ct2,
*.ct1,
*.ct4,
*.ib3,
*.sta,
*.wwf,
*.thd,
*.scores,
*.viff,
*.dmc,
*.dmk,
*.85i,
*.har,
*.otx,
*.otb,
*.aud,
*.xng,
*.cm,
*.ci,
*.cf,
*.c4,
*.cvt,
*.svx,
*.svs,
*.svo,
*.svb,
*.dmrproj,
*.btab,
*.dos,
*.doo,
*.ljpeg,
*.egx,
*.hcx,
*.idms,
*.geany,
*.ids,
*.eu,
*.ed,
*.gm1,
*.ssflib,
*.zzz,
*.icalendar,
*.tdt,
*.tdi,
*.tdd,
*.mono,
*.msk,
*.msq,
*.nsl,
*.diz,
*.nsr,
*.nsd,
*.gma,
*.?q?,
*.hex,
*.k,
*.i,
*.flh,
*.tax2012,
*.tax2011,
*.tax2014,
*.smap,
*.cbz,
*.yyy,
*.opo,
*.pui,
*.pud,
*.hostnames,
*.asl,
*.xbg,
*.sketch,
*.gl,
*.obml16,
*.psf2lib,
*.hprj,
*.hjson,
*.hgl,
*.ecc,
*.ithmb,
*.sqlite-shm,
*.xcfbz2,
*.d64,
*.avt,
*.warc.gz,
*.pwc,
*.wms,
*.pages.zip,
*.sai,
*.agsc,
*.x??,
*.im24,
*.i8,
*.i7,
*.i6,
*.animated,
*.ic,
*._im,
*.yobj,
*.dore,
*.lxxplot,
*.8xi,
*.mpfa,
*.2bp,
*.gih,
*.d81,
*.v2i,
*.eas,
*.st26,
*.cpf,
*.ync,
*.vgx,
*.rct,
*.woz,
*.framework,
*.kps,
*.sc8,
*.sc?,
*.sc2,
*.afio,
*.snsf,
*.tb0,
*.ftb-wal,
*.json-patch,
*.pspbrush,
*.scdoc,
*.dgi,
*.565,
*.vec,
*.ray,
*.p2,
*.p9,
*.acq,
*.wig,
*.aco,
*.pg,
*.pi,
*.pu,
*.snsflib,
*.kro,
*.x3db,
*.x3dz,
*.x3dv,
*.minissf,
*.bbs,
*.bbm,
*.xface,
*.chan,
*.sen,
*.seq,
*.liff,
*.pca,
*.fna,
*.sqlite-wal,
*.dar,
*.dag,
*.scriv,
*.cym,
*.aa3,
*.suniff,
*.pixar,
*.brush,
*.wrld,
*.aax,
*.root,
*.aai,
*.rc,
*.rw,
*.sharc,
*.ximg,
*.fodg,
*.pjxl,
*.db-shm,
*.jpo,
*.jpn,
*.obml15,
*.sgx,
*.ftmm,
*.ftmb,
*.ftmd,
*.cb7,
*.iimg,
*.cbr,
*.fle,
*.cbm,
*.fls,
*.pttune,
*.wat.gz,
*.dcp,
*.nic,
*.pbo,
*.pbn,
*.qd3,
*.xcfgz,
*.qdv,
*.qda,
*.bundle,
*.vig,
*.folio,
*.markdn,
*.tc,
*.td,
*.tt,
*.jbig2,
*.faxx,
*.ipl,
*.ipi,
*.fntdata,
*.minisnsf,
*.rmt,
*.sy3,
*.syn,
*.bny
*.sfproj,
*.txl,
*.nimrod,
*.sublime_metrics,
*.rsx,
*.csx,
*.f08,
*.xacro,
*.erb.deface,
*.8xk.txt,
*.vrx,
*.gql,
*.mspec,
*.hqf,
*.matah,
*.dotsettings,
*.xql,
*.vert,
*.metal,
*.gypi,
*.odd,
*.vh,
*.podsl,
*.topojson,
*.factor,
*.html.hl,
*.cirru,
*.fpp,
*.xojo_menu,
*.cljscm,
*.gst,
*.gsx,
*.mathematica,
*.sublime-keymap,
*.lektorproject,
*.rs.in,
*.sublime-snippet,
*.fea,
*.lasso,
*.jsproj,
*.xs,
*.xc,
*.trg,
*.thor,
*.pytb,
*.scaml,
*.pike,
*.dtx,
*.erb,
*.natvis,
*.sh-session,
*.urs,
*.rbuistate,
*.latte,
*.glsl,
*.iol,
*.xojo_code,
*.opal,
*.mkdown,
*.kicad_wks,
*.model.lkml,
*.eliom,
*.lfe,
*.rktd,
*.epj,
*.dockerfile,
*.abap,
*.syntax,
*.sthlp,
*.flex,
*.jsfl,
*.avsc,
*.nearley,
*.iml,
*.mask,
*.sublime-menu,
*.filters,
*.phtml,
*.tfvars,
*.monkey,
*.geojson,
*.cjsx,
*.ps1xml,
*.tpb,
*.pkgproj,
*.moo,
*.c++objdump,
*.gyp,
*.wxi,
*.plx,
*.rabl,
*.volt,
*.glade,
*.hxml,
*.ldml,
*.props,
*.tmpreferences,
*.3qt,
*.xib,
*.tml,
*.rebol,
*.yml,
*.eclass,
*.ring,
*.pcss,
*.mawk,
*.gcode,
*.fxh,
*.mmk,
*.mms,
*.gshader,
*.cljx,
*.cljs,
*.weechatlog,
*.cljc,
*.thrift,
*.golo,
*.click,
*.cproject,
*.p6m,
*.p6l,
*.cuh,
*.app.src,
*.plot,
*.xojo_report,
*.mly,
*.kojo,
*.tsx,
*.jflex,
*.bb,
*.jison,
*.cwl,
*.ashx,
*.yap,
*.builder,
*.yml.mysql,
*.sparql,
*.hbs,
*.dlm,
*.c-objdump,
*.mir,
*.sats,
*.zmpl,
*.pprx,
*.pri,
*.zone,
*.reds,
*.hlean,
*.psgi,
*.pascal,
*.sjs,
*.ejs,
*.zcml,
*.dylan,
*.1in,
*.bison,
*.xml.dist,
*.ihlp,
*.gbo,
*.py3,
*.xproc,
*.xproj,
*.prolog,
*.glslv,
*.gnuplot,
*.wast,
*.pyi,
*.vba,
*.pyw,
*.lslp,
*.bats,
*.conll,
*.sls,
*.sci,
*.targets,
*.fy,
*.moon,
*.mirah,
*.ruby,
*._ls,
*.lvproj,
*.scrbl,
*.pd_lua,
*.mustache,
*.forth,
*.djs,
*.maxproj,
*.edc,
*.axi,
*.8xp.txt,
*.yara,
*.objdump,
*.hb,
*.hy,
*.depproj,
*.xojo_script,
*.asn1,
*.arpa,
*.iced,
*.xsjs,
*.ddl,
*.ahkl,
*.gni,
*.gnu,
*.pony,
*.vbhtml,
*.podspec,
*.haml.deface,
*.webidl,
*.sublime-theme,
*.rbx,
*.mdwn,
*.jq,
*.csdef,
*.graphql,
*.hxsl,
*.coq,
*.i7x,
*.nginxconf,
*.tmux,
*.tac,
*.duby,
*.rst.txt,
*.flux,
*.mtml,
*.ooc,
*.shproj,
*.sbt,
*.ksh,
*.logtalk,
*.pluginspec,
*.rbuild,
*.axs.erb,
*._js,
*.ninja,
*.yar,
*.njs,
*.njk,
*.styl,
*.sublime-completions,
*.yy,
*.druby,
*.mkvi,
*.tfstate.backup,
*.yrl,
*.rnh,
*.builds,
*.darcspatch,
*.jss,
*.nu,
*.nr,
*.no,
*.nl,
*.ni,
*.ne,
*.cshtml,
*.ccp,
*.zsh,
*.ceylon,
*.aug,
*.pan,
*.sublime-macro,
*.ssjs,
*.ebnf,
*._coffee,
*.mkiv,
*.tool,
*.db2,
*.gtl,
*.vhi,
*.vho,
*.vhf,
*.vhw,
*.vht,
*.fxml,
*.emacs,
*.ronn,
*.sl,
*.sj,
*.sv,
*.ncl,
*.ampl,
*.raml,
*.asset,
*.watchr,
*.fun,
*.jelly,
*.http,
*.spin,
*.fancypack,
*.fshader,
*.xtend,
*.mxt,
*.jake,
*.gvy,
*.frag,
*.tfstate,
*.ux,
*.ur,
*.xpy,
*.ndproj,
*.phpt,
*.xsp.metadata,
*.php5,
*.ebuild,
*.smt2,
*.krl,
*.mdoc,
*.agda,
*.xsp-config,
*.boo,
*.prefab,
*.wiki,
*.blade,
*.view.lkml,
*.vsh,
*.pep,
*.opencl,
*.psd1,
*.sttheme,
*.ijs,
*.prw,
*.gpt,
*.maxhelp,
*.abnf,
*.hrl,
*.wl,
*.xrl,
*.scxml,
*.mkfile,
*.cats,
*.ado,
*.6pm,
*.6pl,
*.stan,
*.vhost,
*.rbtbar,
*.mdpolicy,
*.xojo_window,
*.es6,
*.tese,
*.tesc,
*.creole,
*.jinja,
*.grt,
*.1m,
*.1x,
*.plsql,
*.ston,
*.lex,
*.uno,
*.clixml,
*.rbbas,
*.ino,
*.vshader,
*.regexp,
*.d-objdump,
*.numpy,
*.pmod,
*.numsc,
*.pir,
*.pig,
*.pkb,
*.inl,
*.cake,
*.3m,
*.mediawiki,
*.3x,
*.self,
*.purs,
*.cbx,
*.wlua,
*.asax,
*.rno,
*.bones,
*.angelscript,
*.vapi,
*.twig,
*.nasm,
*.rktl,
*.jsm,
*.nproj,
*.jscad,
*.asn,
*.pks,
*.yacc,
*.capnp,
*.bro,
*.brs,
*.pasm,
*.lookml,
*.rbmnu,
*.cpy,
*.ant,
*.dpatch,
*.apacheconf,
*.eliomi,
*.conllu,
*.mao,
*.vark,
*.jbuilder,
*.rdoc,
*.dyalog,
*.psc,
*.sublime-settings,
*.oxh,
*.oxo,
*.blade.php,
*.srdf,
*.gbl,
*.mkdn,
*.rpy,
*.sru,
*.sra,
*.shader,
*.irclog,
*.sce,
*.nawk,
*.ml4,
*.gltf,
*.eam.fs,
*.tmcommand,
*.lgt,
*.chs,
*.mata,
*.thy,
*.lmi,
*.gap,
*.parrot,
*.mjs,
*.webmanifest,
*.kit,
*.au3,
*.grace,
*.3in,
*.aux,
*.auk,
*.reek,
*.cy,
*.cw,
*.cp,
*.svh,
*.udo,
*.muf,
*.slim,
*.gts,
*.sagews,
*.eclxml,
*.doh,
*.gto,
*.matlab,
*.cpp-objdump,
*.hcl,
*.vhs,
*.rake,
*.sma,
*.zimpl,
*.cscfg,
*.idc,
*.idr,
*.eq,
*.bzl,
*.eh,
*.em,
*.ec,
*.eb,
*.ltx,
*.jisonlex,
*.pyde,
*.sublime_session,
*.gawk,
*.rbres,
*.axi.erb,
*.befunge,
*.exs,
*.gms,
*.mjml,
*.nsh,
*.eex,
*.liquid,
*.sublime-mousemap,
*.6,
*.4,
*.9,
*.minid,
*.vcl,
*.yyp,
*.xspec,
*.soy,
*.pkl,
*.rbxs,
*.g4,
*.gd,
*.gi,
*.gn,
*.gp,
*.gs,
*.cobol,
*.asmx,
*.command,
*.mq5,
*.cginc,
*.emberscript,
*.mqh,
*.urdf,
*.yang,
*.c++-objdump,
*.nqp,
*.tea,
*.ecr,
*.hats,
*.vala,
*.emacs.desktop,
*.omgrofl,
*.sage,
*.rei,
*.reb,
*.mumps,
*.clw,
*.cl2,
*.workbook,
*.io,
*.handlebars,
*.apib,
*.8xp,
*.sublime-syntax,
*.gtpl,
*.bal,
*.numpyw,
*.a51,
*.jsonl,
*.json5,
*.cppobjdump,
*.qbs,
*.pyt,
*.pyp,
*.lean,
*.robot,
*.bdy,
*.x10,
*.nse,
*.unity,
*.kicad_mod,
*.ccproj,
*.pogo,
*.desktop.in,
*.cxx-objdump,
*.gko,
*.gdbinit,
*.hlsli,
*.xsjslib,
*.sublime-commands,
*.veo,
*.json-tmlanguage,
*.launch,
*.p4,
*.p6,
*.p8,
*.boot,
*.kicad_pcb,
*.lagda,
*.mu,
*.mt,
*.tu,
*.mk,
*.cmake.in,
*.dats,
*.marko,
*.axml,
*.wsgi,
*.mysql,
*.tmsnippet,
*.gradle,
*.chpl,
*.r2,
*.r3,
*.yasnippet,
*.rg,
*.re,
*.ru,
*.sexp,
*.ktm,
*.nlogo,
*.rest.txt,
*.lidr,
*.ox,
*.oz,
*.os,
*.rbfrm,
*.ol,
*.cljs.hl,
*.sh.in,
*.jinja2,
*.myt,
*.lasso8,
*.lasso9,
*.yaml-tmlanguage,
*.nix,
*.psc1,
*.rviz,
*.regex,
*.bsl,
*.dll.config,
*.decls,
*.viw,
*.xojo_toolbar,
*.mako,
*.make,
*.agc,
*.tl,
*.tm,
*.ipp,
*.intr,
*.zep,
*.escript,
*.chem,
*.monkey2,
*.storyboard,
*.sublime-build,
*.wisp
*.evt,
*.evo,
*.nol,
*.pdn,
*.pds,
*.wpt,
*.voc,
*.g9b,
*.vc,
*.vi,
*.kxs,
*.ndf,
*.rka,
*.pmr,
*.b3d,
*.dro,
*.lzo,
*.blb,
*.bld,
*.lzx,
*.etl,
*.fp2,
*.cft,
*.cfn,
*.cff,
*.vpm,
*.gsm,
*.gs0,
*.hsi,
*.vmf,
*.aks,
*.ofr,
*.trp,
*.xsi,
*.itl,
*.rib,
*.rix,
*.uof,
*.pika,
*.dtm,
*.ldf,
*.tts,
*.vvd,
*.clpi,
*.ncd,
*.nct,
*.huh,
*.sah,
*.z8,
*.z5,
*.zz,
*.rwl,
*.dvm,
*.lfp,
*.ftm,
*.ftw,
*.ape,
*.mar,
*.vtk,
*.apw,
*.pjg,
*.hwp,
*.imr,
*.imq,
*.imy,
*.imf,
*.imd,
*.imc,
*.imb,
*.ima,
*.imi,
*.aon,
*.buz,
*.sqx,
*.sqz,
*.aiml,
*.wsp,
*.wsz,
*.mol,
*.m4r,
*.ngg,
*.gym,
*.pld,
*.ark,
*.001,
*.icn,
*.par2,
*.ams,
*.amd,
*.amc,
*.bsi,
*.xip,
*.bsb,
*.bss,
*.bsp,
*.dsp,
*.tmp,
*.cso,
*.csf,
*.gdm,
*.lbr,
*.trs,
*.tre,
*.fxm,
*.fxp,
*.sndh,
*.vxd,
*.neo,
*.hot,
*.ned,
*.apm,
*.pnf,
*.apf,
*.app,
*.aps,
*.bqy,
*.tos,
*.cue,
*.sub,
*.zbr,
*.gfx,
*.gft,
*.uhs,
*.zabw,
*.mkw,
*.avs,
*.pp3,
*.pp2,
*.xarc,
*.shg,
*.bs,
*.bp,
*.bt,
*.bc,
*.bh,
*.xms,
*.tim,
*.wrd,
*.miff,
*.lnx,
*.brstm,
*.atr,
*.atn,
*.pr4,
*.qtl,
*.dd,
*.blorb,
*.fas,
*.pfc,
*.mtv,
*.mini2sf,
*.3dmf,
*.a2b,
*.a2m,
*.a2w,
*.vbp,
*.vbm,
*.hdv,
*.dsflib,
*.ptg,
*.fbk,
*.pt3,
*.yz1,
*.kpl,
*.sla,
*.ff,
*.fc,
*.sc6,
*.miniqsf,
*.glb,
*.z3d,
*.qcow,
*.fountain,
*.lrz,
*.edb,
*.wbd,
*.pvk,
*.wbz,
*.out,
*.amxd,
*.rdc,
*.sng,
*.ha,
*.lwo,
*.lwf,
*.lws,
*.cmu,
*.cmf,
*.mpq,
*.mpl,
*.mpo,
*.xv,
*.gnm,
*.vff,
*.pxm,
*.wlm,
*.pxx,
*.omf,
*.oma,
*.xxx,
*.face,
*.rbj,
*.bga,
*.bgi,
*.col,
*.tak,
*.tax,
*.maff,
*.sbi,
*.ksm,
*.kss,
*.sb2,
*.4bt,
*.lz,
*.la,
*.lss,
*.lzma,
*.cas,
*.theme,
*.fcm,
*.sc68,
*.abr,
*.abs,
*.mvg,
*.abk,
*.ist,
*.sdb,
*.sdo,
*.sdt,
*.sdx,
*.bonk,
*.bbsong,
*.nw,
*.bct,
*.fon,
*.zst,
*.pak,
*.par,
*.pax,
*.gt2,
*.dbm,
*.dbk,
*.gtk,
*.sk,
*.sf,
*.sb,
*.fim,
*.3d2,
*.capx,
*.sft,
*.sfw,
*.sf2,
*.bag,
*.b4s,
*.fmd,
*.tnef,
*.pcb,
*.2mg,
*.xp3,
*.iwc,
*.kris,
*.4xm,
*.lyr,
*.fsb,
*.fsa,
*.fsm,
*.vsq,
*.wsq,
*.pea,
*.pes,
*.gexf,
*.gp4,
*.nlm,
*.gpl,
*.okta,
*.hrt,
*.wv,
*.szs,
*.bmw,
*.bmm,
*.bfli,
*.zmf,
*.pgc,
*.pgf,
*.usf,
*.mdx,
*.mdp,
*.mdl,
*.mda,
*.mdc,
*.psf2,
*.16,
*.grd,
*.grf,
*.gro,
*.grp,
*.pif,
*.mmpz,
*.ym,
*.bks,
*.gcd,
*.pit,
*.pis,
*.pim,
*.mbx,
*.mbm,
*.fnk,
*.fasta,
*.ocx,
*.rtm,
*.ahx,
*.bit,
*.bif,
*.big,
*.ulx,
*.dwc,
*.zim,
*.smod,
*.nff,
*.nfo,
*.ase,
*.ask,
*.ast,
*.qsf,
*.zpaq,
*.jbg,
*.an2,
*.cpr,
*.cpl,
*.rrd,
*.anm,
*.spo,
*.spk,
*.spd,
*.kap,
*.f3d,
*.lan,
*.ups,
*.ovl,
*.qcow2,
*.hmi,
*.emd,
*.ibk,
*.ndx,
*.pma,
*.pmm,
*.gblorb,
*.pms,
*.pmp,
*.pmx,
*.92i,
*.crv,
*.alz,
*.alp,
*.alm,
*.alb,
*.alc,
*.srs,
*.kcf,
*.tnc,
*.uha,
*.ds4,
*.dsk,
*.dsl,
*.zed,
*.tsk,
*.info,
*.awd,
*.pog,
*.web,
*.ag,
*.lgo,
*.fbm,
*.ctx,
*.rz,
*.stg,
*.thm,
*.ufo,
*.gdoc,
*.gam,
*.icns,
*.aup,
*.sk1,
*.xnb,
*.ptcop,
*.cvg,
*.tdb,
*.kgb,
*.svc,
*.tjs,
*.ac1d,
*.mup,
*.hki,
*.gca,
*.ddif,
*.egg,
*.ovf,
*.beam,
*.ova,
*.psm,
*.wal,
*.smk,
*.smc,
*.smx,
*.smp,
*.idml,
*.idf,
*.idx,
*.note,
*.ffn,
*.chn,
*.obml,
*.zzt,
*.kif,
*.td0,
*.tdl,
*.tdf,
*.liq,
*.mso,
*.msa,
*.msu,
*.nsp,
*.gme,
*.gmc,
*.hes,
*.puz,
*.webloc,
*.son,
*.fdb,
*.fdi,
*.gb,
*.gg,
*.dfont,
*.tpu,
*.nii,
*.pwm,
*.pwp,
*.ort,
*.sap,
*.sar,
*.ilbm,
*.pgml,
*.sa2,
*.bff,
*.p3d,
*.qsflib,
*.clo,
*.002,
*.npm,
*.pyo,
*.pyd,
*.scz,
*.scx,
*.scl,
*.scc,
*.sc5,
*.itr,
*.koa,
*.arf,
*.ppf,
*.cnv,
*.plm,
*.dgc,
*.minidsf,
*.rad,
*.p7,
*.act,
*.acm,
*.sea,
*.ses,
*.set,
*.pnc,
*.fnt,
*.nk2,
*.pkpass,
*.job,
*.digi,
*.rol,
*.rom,
*.miniusf,
*.rk,
*.fodt,
*.fods,
*.fodp,
*.datatype,
*.jps,
*.jpc,
*.sgi,
*.sgf,
*.guide,
*.ex_,
*.cba,
*.dev,
*.??_,
*.pbf,
*.wve,
*.wvc,
*.mpls,
*.j6i,
*.vit,
*.vif,
*.t3,
*.agr,
*.tz,
*.gsheet,
*.ips,
*.rmf,
*.2sflib,
*.sym,
*.bnk
*.ihtml,
*.txd,
*.cdy,
*.mgz,
*.xroles,
*.gqs,
*.gqf,
*.adoc.txt,
*.rpss,
*.clkw,
*.vm,
*.ivp,
*.xlog,
*.etx,
*.et3,
*.utz,
*.nml,
*.maker,
*.xwelcome,
*.acutc,
*.xsm,
*.xo,
*.itp,
*.itk,
*.pkipath,
*.sfd-hdstx,
*.dtb,
*.fvt,
*.uri,
*.mc1,
i_*.txt,
*.sdkd,
*.sdkm,
*.joda,
*.sas7butl,
*.iso19139,
*.umj,
*.scurl,
*.bh2,
*.ft7,
*.ft9,
*.ft8,
*.xgrm,
*.semd,
*.semf,
*.sema,
*.chrt,
*.vrml,
*.aet,
*.htke,
*.oda,
*.m4u,
*.plf,
*.pxn,
*.ami,
*.tmo,
*.rsd,
*.ss7,
*.sse,
*.odft,
*.drc,
*.lbe,
*.lbd,
*.qps,
*.su7,
*.sus,
*.xweb,
*.distz,
*.listafp,
*.shf,
*.xslfo,
*.mvb,
*.restx,
*.dna,
*.ustar,
*.atc,
*.jpgv,
*.jpgm,
*.dp,
*.xop,
*.mdtext,
*.p7r,
*.setreg,
*.sv4cpio,
*.kfo,
*.latex,
*.3dml,
*.onetmp,
*.slt,
*.fn,
*.fo,
*.fh,
*.fv,
*.xconf,
*.fg5,
*.junit,
*.dataless,
*.npx,
*.egrm,
*.edm,
*.pvb,
*.qxb,
*.rdz,
*.onetoc,
*.rpst,
*.sas7bput,
*.emma,
*.hp,
*.ghf,
*.dump,
*.asnd,
*.mpt,
*.mpy,
*.igl,
*.mpe,
*.mpm,
*.mpg4,
*.mpga,
*.fe_launch,
*.dd2,
*.wbxml,
*.xegrm,
*.cdkey,
*.susp,
*.s7m,
*.jx,
*.dfac,
*.osfpvg,
*.tao,
*.qam,
^owl$,
*.gnucash,
*.sas7bvew,
.htaccess,
*.ksp,
*.ft10,
*.otm,
*.fit,
*.sas7bacs,
*.knp,
*.kne,
*.rnx,
*.lostxml,
*.grb2,
*.grb1,
*.classpath,
*-gz,
*.sas7bbak,
*.wsdd,
*.config,
*.roles,
*.gtw,
*.jlt,
*.frame,
*.nitf,
*.rld,
*.kwt,
s_*.txt,
*.sf7,
*.bay,
*.wqd,
*.mxu,
*.uoml,
*.nns,
*.nnd,
*.fh50,
*.anpa,
*.uris,
*.xpx,
*.xpw,
*.hbci,
*.cxt,
*.ngdat,
*.ecma,
*.boz,
*.sv4crc,
*.fsc,
*.spot,
*.pen,
*.csml,
*.mfm,
*.nlu,
*.sas7bmdb,
*.rcprofile,
*.sv7,
*.pcurl,
*.dcurl,
*.wmlsc,
*.x32,
*.zmm,
*.es3,
*.davmount,
*.gre,
*.grm,
*.grv,
*.fh12,
*.ocaml,
*.mmpt,
*.xhvml,
*.oas,
*.oa3,
*.oa2,
*.les,
*.silo,
*.mmmp,
*.teacher,
*.list3820,
*.ufdl,
*.dtshd,
*.wspolicy,
*.sas7bitm,
*.hvs,
*.hvp,
*.hvd,
*.xvm,
*.bcpio,
*.link66,
abs-menulinks,
*.rtx,
*.ecelp7470,
*.ad.txt,
*.pclxl,
*.eol,
*.gtar,
*.cii,
*.pki,
*.jisp,
*.portpkg,
*.cwiki,
*.sas7bndx,
*.spq,
*.tcap,
*.zirz,
*.gex,
*.sas7bpgm,
*.m3a,
abs-linkmap,
readme,
*.xmap,
*.ecelp4800,
*.tpt,
*.deploy,
keys,
*.clkp,
*.clkt,
*.clkk,
*.asice,
*.asics,
*.bpk,
*.mp4a,
*.mp4v,
*.mp4s,
*.srx,
*.src,
*.srl,
*.msty,
*.u32,
*.ggt,
*.m14,
*.m13,
*.xargs,
*.mscml,
*.sas7bdmd,
*.xsamples,
*.qwt,
*.qwd,
*.xld,
^rdf$,
*.sldx,
*.st7,
*.mwf,
*.ufd,
*.mseed,
*.gac,
*.dist,
*.unityweb,
*.wmlc,
*.wmls,
*.ei6,
*.sbml,
*.skt,
*.ifm,
*.oprc,
*.svd,
*.xvml,
install,
*.zaz,
*.acfm,
*.mesh,
*.sm7,
*.idl,
*.ez,
*.tbz2,
*.h263,
*.h261,
*.mp2a,
*.kil,
*.kia,
*.amfm,
*.sas7baud,
*.aart,
*.mmas,
*.mmat,
*.ptid,
*.vcd,
*.aso,
*.xbd,
*.lvp,
*.emlx,
*.xlex,
*.mqy,
*.efif,
*.karbon,
*.ecelp9600,
*.c4f,
*.c4g,
*.sa7,
*.c4p,
*.c4u,
*.xdp,
*.fzs,
*.cbor,
*.curl,
*.qbo,
*.twds,
*.mcurl,
*.scs,
*.scq,
*.kpt,
*.setpay,
*.lrm,
*.n-gage,
*.gram,
*.hpid,
*.fhc,
*.atomcat,
*.kon,
*.btif,
*.ft12,
*.ft11,
*.sp7,
*.acu,
*.xcat,
*.mseq,
*.cdbcmsg,
*.chat,
*.see,
*.ser,
*.sas7bfdb,
*.data,
*.ez2,
*.ez3,
*.xenc,
*.daf,
*.wtb,
*.musicxml,
a_*.txt,
*.aab,
*.urls,
*.ktr,
*.irm,
makefile,
*.ext,
*.flw,
*.nnw,
*.seed,
*.fh40,
*.atomsvc,
*.vis,
*.jmx,
*.tk,
*.rms,
*.dxp
*.txc,
*.pdt,
*.vol,
*.dted,
*.ivc,
*.dzt,
*.vml,
*.ofx,
*.xy,
*.itf,
*.pdx,
*.pdp,
*.dt1,
*.dt0,
*.dt2,
*.segy,
*.p04,
*.p03,
*.p02,
*.aix,
*.m2t,
*.rws,
*.w60,
*.amiramesh,
*.pjx,
*.pjt,
*.obz,
*.obt,
*.bup,
*.ebcdic,
*.dpp,
*.enw,
*.enr,
*.ws5,
*.ssd,
*.trn,
*.mmm,
*.qpt,
*.rqy,
*.lli,
*.rhtm,
*.avg,
*.ppi,
*.ppmb,
*.f4a,
*.sw3,
*.abif,
*.min,
*.qtm,
*.dx,
*.rft,
*.wbk,
*.g41,
*.hm,
*.qxp%20report,
*.sha1,
*.qcd,
*.qct,
*.image,
*.jwt,
*.x00,
*.jw,
*.pzm,
*.ifcxml,
*.gjf,
*.abt,
*.sdk,
*.sdn,
*.sd2,
*.cch,
*.cce,
*.qel,
*.dbt,
*.dbc,
*.3dd,
*.fmt,
*.fm1,
*.fm3,
*.pcp,
*.apng,
*.afi,
*.afc,
*.tym,
*.wsw,
*.ws6,
*.ws4,
*.wi,
*.ad1,
*.ad2,
*.ad3,
*.ad4,
*.ad5,
*.cram,
*.w51,
*.w50,
*.w52,
*.md5,
*.htx,
*.rvt,
*.rvg,
*.sha256,
*.fw4,
*.vwx,
*.ing,
*.ezdraw,
*.catmaterial,
*.qxp report,
*.dw2,
*.ulaw,
*.ili,
*.qsm,
*.qsl,
*.laz,
*.dqy,
*.mnt,
*.mnu,
*.mnr,
*.mns,
*.rasterw,
*.bp2,
*.ali,
*.idq,
*.src.rpm,
*.awt,
*.wkq,
*.xlk,
*.xlb,
*.xlg,
*.skb,
*.oqy,
*.udl,
*.dbq,
*.waf,
*.chw,
*.fft,
*.tdk,
*.ns4,
*.ns3,
*.psid,
*.did,
*.vct,
*.3mf,
*.ns2,
*.rxd,
*.hdf5,
*.g71,
*.wmo,
*.pgmb,
*.pgma,
*.xyw,
*.xyp,
*.xy4,
*.xy3,
*.feather,
*.none,
*.mpcatalog,
*.xbf,
*.hq.uef,
*.pvd,
*.pbmb,
*.dc2,
*.dxl,
*.dxx
*.iges,
*.cdx,
*.pdb,
*.wpd,
*.wps,
*.hqx,
*.vox,
*.7z,
*.r3d,
*.lzh,
*.fpx,
*.pfb,
*.wri,
*.pfm,
*.wrl,
*.cpio,
*.erf,
*.ttf,
*.rw2,
*.dvi,
*.pnm,
*.webp,
*.webm,
*.xwd,
*.opus,
*.pages,
*.m4v,
*.arw,
*.ico,
*.qpw,
*.jar,
*.pict,
*.dll,
*.pps,
*.igs,
*.shw,
*.cwk,
*.mif,
*.gsf,
*.cif,
*.xlr,
*.nrw,
*.lha,
*.fli,
*.ptx,
*.fm,
*.xar,
*.hlp,
*.ppt,
*.wdb,
*.ppm,
*.wb1,
*.wb2,
*.wb3,
*.qxd,
*.xcf,
*.lwp,
*.cmx,
*.cml,
*.mpp,
*.tar,
*.grb,
*.ntf,
*.fig,
*.cab,
*.cat,
*.cap,
*.jng,
*.abw,
*.sdc,
*.ras,
*.pcx,
*.pct,
*.xps,
*.sxw,
*.sxd,
*.sxc,
*.sxi,
*.cgm,
*.zoo,
*.vsd,
*.ogv,
*.pgm,
*.numbers,
*.mdi,
*.fh11,
*.fh10,
*.mb,
*.bin,
*.docm,
*.emf,
*.mng,
*.bpg,
*.rpm,
*.crt,
*.sit,
*.au,
*.am,
*.ai,
*.xls,
*.chm,
*.stl,
*.key,
*.aifc,
*.sitx,
*.dmp,
*.dmg,
*.indd,
*.otf,
*.class,
*.log,
*.mus,
*.doc,
*.mht,
*.psd,
*.psf,
*.smi,
*.bz2,
*.msf,
*.msp,
*.z,
*.vcs,
*.vcf,
*.rgb,
*.gz,
*.wmf,
*.pcap,
*.kmz,
*.mobi,
*.dex,
*.cpt,
*.arj,
*.sas7bdat,
*.rar,
*.ram,
*.wks,
*.xlsm,
*.exe,
*.flc,
*.pbm,
*.viv,
*.dxb,
*.dxr
*.cdr,
*.wpg,
*.vob,
*.tzx,
*.fpt,
*.met,
*.pff,
*.oft,
*.elf,
*.pspimage,
*.tta,
*.ers,
*.url,
*.minigsf,
*.ldif,
*.w64,
*.mab,
*.max,
*.vtf,
*.m2ts,
*.woff,
*.drw,
*.lbm,
*.apt,
*.pnt,
*.p65,
*.cur,
*.sun,
*.gis,
*.shk,
*.bw,
*.uef,
*.swm,
*.aws,
*.lnk,
*.mix,
*.atf,
*.cin,
*.wk4,
*.slk,
*.slb,
*.scn,
*.ppp,
*.dds,
*.g64,
*.tag,
*.tap,
*.vdi,
*.vdx,
*.sbk,
*.lst,
*.cal,
*.caf,
*.gsflib,
*.sdf,
*.sdr,
*.paf,
*.pab,
*.pal,
*.pam,
*.dbx,
*.fif,
*.rla,
*.rle,
*.3dm,
*.3ds,
*.sff,
*.bak,
*.cel,
*.pcd,
*.gpx,
*.wp,
*.ws,
*.ds_store,
*.pix,
*.inf,
*.bik,
*.dws,
*.nif,
*.jbf,
*.cpx,
*.ans,
*.ani,
*.spv,
*.ged,
*.gem,
*.pm3,
*.pmd,
*.pmt,
*.bp3,
*.ds2,
*.dsf,
*.dss,
*.awb,
*.sib,
*.thn,
*.skf,
*.iff,
*.svf,
*.lib,
*.nrrd,
*.psp,
*.cht,
*.chr,
*.chi,
*.ch3,
*.msv,
*.dia,
*.dic,
*.o,
*.flm,
*.bxy,
*.ecw,
*.dcx,
*.ora,
*.sam,
*.pyc,
*.scr,
*.sct,
*.8svx,
*.art,
*.wim,
*.pcs,
*.pc3,
*.wk3,
*.wk1,
*.aae,
*.exr,
*.flp,
*.qxp,
*.dcm,
*.t64,
*.vic,
*.sys
*.ivu,
*.pml,
*.iif,
*.xsp,
*.slddrw,
*.wmd,
*.application,
*.toast,
*.vtu,
*.ims,
*.dpg,
*.csp,
*.drf,
*.gdl,
*.trm,
*.tra,
*.elc,
*.mmf,
*.mmd,
*.texinfo,
*.jad,
*.list,
*.gim,
*.igx,
*.mathml,
*.cww,
*.pom,
*.swi,
*.swa,
*.prt,
*.p7s,
*.cil,
*.xmind,
*.azs,
*.azf,
*.fbs,
*.kpr,
*.edx,
*.ppj,
*.wbs,
*.axx,
*.osf,
*.cmdf,
*.cmp,
*.mpn,
*.xer,
*.car,
*.rng,
*.cct,
*.xbap,
*.sfs,
*.kwd,
*.hps,
*.xpr,
*.vsf,
*.movie,
*.wm,
*.pgp,
*.cdxml,
*.zir,
*.spp,
*.kar,
*.w3d,
*.oxt,
*.mny,
*.clkx,
*.crx,
*.sr2,
*.ar,
*.ad,
*.fb2,
*.stf,
*.jnlp,
*.skm,
*.twd,
*.gtm,
*.jnilib,
*.war,
*.ltf,
*.h264,
*.msh,
*.msl,
*.mst,
*.mmap,
*.he5,
*.vcg,
*.rep,
*.saf,
*.xdw,
*.cla,
*.ear,
*.xyz,
*.pya,
*.pyv,
*.tld,
*.onetoc2,
*.xfdl,
*.ktz,
*.irp,
*.texi,
*.flo,
*.pbd,
*.tr,
*.ipk
*.cda,
*.etf,
*.fp3,
*.fp5,
*.xwma,
*.mcw,
*.p01,
*.p00,
*.dvb,
*.dvf,
*.mas,
*.zexp,
*.ens,
*.enz,
*.enf,
*.enl,
*.ply,
*.cus,
*.blk,
*.snoop,
*.lng,
*.prn,
*.bsdiff,
*.imovieproj,
*.dc,
*.ptl,
*.rfa,
*.fw,
*.hd,
*.catproduct,
*.mpw,
*.qcp,
*.wld,
*.pzf,
*.catpart,
*.mxmf,
*.abd,
*.iqy,
*.fmz,
*.fmp,
*.fmv,
*.mxd,
*.ws7,
*.qic,
*.vlw,
*.adc,
*.adi,
*.adx,
*.htc,
*.xtg,
*.xtf,
*.model,
*.fw2,
*.fw3,
*.jdf,
*.btr,
*.rte,
*.xmcd,
*.qst,
*.qsd,
*.anb,
*.spa,
*.e57,
*.psw,
*.bpl,
*.u3d,
*.ctb,
*.stb,
*.pcapng,
*.ifo,
*.svr,
*.mswmm,
*.idw,
*.lit,
*.lic,
*.lin,
*.msc,
*.fdr,
*.pwd,
*.pwi,
*.pwt,
*.nib,
*.sat,
*.lpk,
*.clk,
*.iv,
*.nwc,
*.nwd,
*.fh3,
*.tbk,
*.dgn,
*.acd,
*.acb,
*.blend,
*.pw,
*.one,
*.acsm,
*.sgt,
*.cbd,
*.dca,
*.qdf,
*.3gpp
*.frg,
*.xqy,
*.xqm,
*.ivy,
*.vcxproj,
*.gsp,
*.xi,
*.mcr,
*.prefs,
*.ftl,
*.upc,
*.sqf,
*.fsproj,
*.wxl,
*.rsh,
*.wdl,
*.bf,
*.desktop,
*.dm,
*.gbp,
*.hsc,
*.fr,
*.fx,
*.glf,
*.osm,
*.wixproj,
*.sublime-project,
*.scpt,
*.xaml,
*.vsixmanifest,
*.ld,
*.ll,
*.lsl,
*.mkii,
*.nuspec,
*.tcc,
*.jsb,
*.ny,
*.nf,
*.eye,
*.gtp,
*.sp,
*.sublime-workspace,
*.ui,
*.xpl,
*.proj,
*.dyl,
*.ash,
*.nbp,
*.vstemplate,
*.hic,
*.oxygene,
*.tmlanguage,
*.brd,
*.ascx,
*.cps,
*.tla,
*.ex,
*.axs,
*.tst,
*.mll,
*.xlf,
*.ctp,
*.adml,
*.gco,
*.vssettings,
*.smt,
*.kid,
*.lid,
*.n,
*.gemspec,
*.mq4,
*.ecl,
*.tpp,
*.red,
*.tps,
*.rex,
*.orc,
*.tmtheme,
*.8xk,
*.smali,
*.csproj,
*.sco,
*.wxs,
*.pt,
*.bbx,
*.mo,
*.rd,
*.xliff,
*.kts,
*.admx,
*.zpl,
*.flf,
*.pbi,
*.pbt,
*.tf,
*.resx
*.txf,
*.manifest,
*.vor,
*.atom,
*.mef,
*.xz,
*.rwz,
*.ftc,
*.fti,
*.fts,
*.vtt,
*.imp,
*.mos,
*.icm,
*.icc,
*.torrent,
*.ssf,
*.mmp,
*.apk,
*.sisx,
*.prf,
*.mts,
*.uc2,
*.gnumeric,
*.azw,
*.xap,
*.ppd,
*.ost,
*.snd,
*.snf,
*.cmc,
*.mpc,
*.dms,
*.cod,
*.ttc,
*.rnc,
*.rlc,
*.vmdk,
*.pcl,
*.pcf,
*.xpi,
*.sxm,
*.vss,
*.ogm,
*.oxps,
*.pgn,
*.xif,
*.eot,
*.pkg,
*.spl,
*.bpm,
*.crd,
*.sis,
*.ac,
*.bibtex,
*.stw,
*.stx,
*.std,
*.skd,
*.wad,
*.smf,
*.fff,
*.msi,
*.a,
*.wcm,
*.fdf,
*.tfm,
*.res,
*.wml,
*.wmz,
*.in,
*.deb,
*.der,
*.sldprt,
*.cer,
*.conf,
*.ace,
*.book,
*.mf,
*.xfdf,
*.aas,
*.aam,
*.myi,
*.sldasm,
*.xspf,
*.3g2,
*.ipa,
*.rmp
*.pdf,
*.odg,
*.odb,
*.odt,
*.ods,
*.odp,
*.flac,
*.jif,
*.dtd,
*.crw,
*.mov,
*.m4a,
*.ics,
*.nef,
*.png,
*.mka,
*.tif,
*.swf,
*.mid,
*.pptx,
*.mrw,
*.mpeg,
*.avi,
*.h5,
*.mpg,
*.hdf,
*.mp3,
*.mp4,
*.tiff,
*.mkv,
*.vsdx,
*.iso,
*.sgml,
*.sdw,
*.epub,
*.mxf,
*.vst,
*.ogg,
*.aif,
*.bmp,
*.mdb,
*.rtf,
*.dwg,
*.zip,
*.docx,
*.spf,
*.spx,
*.cr2,
*.aiff,
*.ott,
*.ots,
*.otp,
*.pst,
*.wav,
*.msg,
*.nsf,
*.dif,
*.flv,
*.asf,
*.wma,
*.wmv,
*.orf,
*.gif,
*.raf,
*.x3f,
*.ra,
*.rm,
*.jp2,
*.jpg,
*.xlsx,
*.dcr,
*.3gp,
*.rmi,
*.dxf
*.vw,
*.rkt,
*.tmac,
*.jade,
*.fth,
*.mak,
*.feature,
*.pl6,
*.bsv,
*.lbx,
*.scad,
*.pov,
*.cmake,
*.textile,
*.di,
*.do,
*.fan,
*.rbw,
*.fish,
*.ls,
*.ly,
*.shen,
*.jsx,
*.hlsl,
*.sass,
*.pck,
*.uc,
*.phps,
*.fsx,
*.fsi,
*.toml,
*.cson,
*.gpb,
*.proto,
*.inc,
*.qml,
*.ahk,
*.ily,
*.spec,
*.cr,
*.ck,
*.lol,
*.ps1,
*.wat,
*.mss,
*.swift,
*.litcoffee,
*.w,
*.3,
*.2,
*.1,
*.7,
*.5,
*.8,
*.opa,
*.pug,
*.gf,
*.god,
*.lpr,
*.ik,
*.webapp,
*.geom,
*.psm1,
*.scss,
*.pyx,
*.mdown,
*.fcgi,
*.po,
*.ph,
*.dart,
*.nim,
*.vim
*.cd5,
*.cdt,
*.wp6,
*.wp4,
*.wp5,
*.rf64,
*.mei,
*.wpm,
*.pdd,
*.vicar,
*.mac,
*.nap,
*.minipsf1,
*.cut,
*.pp5,
*.pp4,
*.sh3,
*.olk,
*.bik2,
*.bdb,
*.mpa,
*.mp1,
*.ddb,
*.tbl,
*.qs,
*.sds,
*.wq1,
*.wq2,
*.pc2,
*.acv,
*.wsd,
*.ws3,
*.psf1,
*.xyze,
*.bk2,
*.zif,
*.mnl,
*.mnc,
*.pm4,
*.pm5,
*.bps,
*.bpx,
*.odex,
*.lck,
*.rgbe,
*.wave,
*.wk2,
*.dwt,
*.dox,
*.jxr,
*.tfx,
*.csvs,
*.minipsf,
*.im,
*.flif,
*.pk,
*.kra,
*.psflib,
*.ktx,
*.dct
*.odi,
*.odf,
*.pfr,
*.pfx,
*.iiq,
*.123,
*.mag,
*.ent,
*.cst,
*.mmr,
*.m2a,
*.p7b,
*.ft,
*.mrc,
*.tgz,
*.pack,
*.types,
*.udeb,
*.oti,
*.sdp,
*.pqa,
*.so,
*.sz,
*.acc,
*.uu,
*.3fr,
*.sxg,
*.vsl,
*.vsw,
*.gph,
*.oga,
*.ogx,
*.bmi,
*.esf,
*.mpkg,
*.mbk,
*.p12,
*.p10,
*.mime,
*.emz,
*.crl,
*.sr7,
*.dsc,
*.si7,
*.p7c,
*.stc,
*.sti,
*.oth,
*.k25,
*.dis,
*.flx,
*.g3,
*.def,
*.bdm,
*.tbz,
*.ssml,
*.sgl,
*.text,
*.fly,
*.myd
*.elm,
*.lds,
*.obj,
*.icl,
*.csl,
*.rsc,
*.csd,
*.sss,
*.apl,
*.toc,
*.xmi,
*.prg,
*.mtl,
*.gbs,
*.fs,
*.edn,
*.pxi,
*.pxd,
*.wlt,
*.dfm,
*.anim,
*.ipynb,
*.iss,
*.pac,
*.ss,
*.sfd,
*.fsh,
*.wsf,
*.bmx,
*.ins,
*.vue,
*.sps,
*.als,
*.srt,
*.srw,
*.ch,
*.udf,
*.es,
*.vbproj,
*.q,
*.x,
*.b,
*.asd,
*.reg,
*.sch,
*.kt,
*.pd,
*.pb,
*.mm,
*.dae,
*.maxpat,
*.ipf
*.vxml,
*.perl,
*.lisp,
*.mkd,
*.xquery,
*.owl,
*.ditaval,
*.pfa,
*.rexx,
*.xq,
*.hxx,
*.vhdl,
*.mxml,
*.haml,
*.php4,
*.php3,
*.asciidoc,
*.ads,
*.applescript,
*.less,
*.4th,
*.roff,
*.meta,
*.al,
*.aj,
*.f77,
*.cu,
*.cl,
*.h++,
*.ccxml,
*.sml,
*.el,
*.gmx,
*.e,
*.l,
*.cbl,
*.pwn,
*.i3,
*.ig,
*.bash,
*.sed,
*.m3,
*.mg,
*.rl,
*.rq,
*.tcsh,
*.dcl,
*.rest,
*.adoc
*.tf8,
*.doq,
*.hdfeos,
*.bqw,
*.xmt,
*.qti,
*.fbn,
*.rda,
*.tif ,
*.gpkg,
*.qal,
*.df9,
*.df8,
*.df2,
*.df0,
*.df6,
*.df5,
*.df4,
*.map.xml,
*.df7,
*.rlcw,
*.btf,
*.rti,
*.bil,
*.lgg,
*.zsav,
*.lx01,
*.mxmf ,
*.bmpw,
*.ptif,
*.he2,
*.he4,
*.tar.gz,
*.rar ,
*.bipw,
*.rcw,
*.spiff,
*.jmh,
*.sec,
*.ex01,
*.aaf,
*.bsqw,
*.gtiff,
*.bsq
*.rss,
*.cfm,
*.cfg,
*.man,
*.dpr,
*.tpl,
*.ice,
*.csh,
*.scm,
*.tex,
*.com,
*.pas,
*.vhd,
*.sh,
*.sc,
*.afm,
*.adb,
*.adp,
*.patch,
*.bib,
*.asm,
*.spc,
*.mli,
*.cs,
*.cc,
*.s,
*.p,
*.g,
*.d,
*.m,
*.org,
*.clp,
*.bdf,
*.pm,
*.m4,
*.md,
*.ml
*.vb,
*.cfc,
*.erl,
*.rst,
*.coffee,
*.lhs,
*.vbs,
*.lua,
*.hh,
*.hs,
*.cmd,
*.jl,
*.tcl,
*.groovy,
*.c++,
*.diff,
*.st,
*.cxx,
*.cgi,
*.xslt,
*.scala,
*.awk,
*.r,
*.v,
*.t,
*.y,
*.c,
*.h,
*.go,
*.gv,
*.sas,
*.clj,
*.cpp,
*.ms,
*.rs,
*.markdown,
*.hpp
*.map,
*.img,
*.dpx,
*.amf,
*.shp,
*.shx,
*.xmf,
*.e00,
*.prj,
*.dv,
*.db,
*.far,
*.mtm,
*.hdr,
*.ptm,
*.fbx,
*.s3m,
*.stm,
*.wdp,
*.tga,
*.dbf,
*.okt,
*.aff,
*.qif,
*.adf,
*.ind,
*.cpi,
*.sqlite,
*.sid,
*.rmvb,
*.sav,
*.it,
*.dem,
*.cpc,
*.dat,
*.jpx
*.ppam,
*.fp7,
*.plc,
*.xlam,
*.ppa,
*.qxt,
*.qxl,
*.xltx,
*.xltm,
*.sd7,
*.n3,
*.pre,
*.ibooks,
*.smil,
*.p7m,
*.xlw,
*.xlm,
*.xla,
*.xlc,
*.sldm,
*.potm,
*.potx,
*.ppsm,
*.wax,
*.vcx,
*.wmx,
*.xdm,
*.fh8,
*.fh7,
*.fh5,
*.fh4,
*.xll,
*.sas7bcat
*.wpl,
*.webarchive,
*.aep,
*.rif,
*.mcd,
*.air,
*.project,
*.obd,
*.ddd,
*.apr,
*.jam,
*.xmp,
*.pptm,
*.djv,
*.ppz,
*.mpx,
*.dwfx,
*.mxl,
*.box,
*.inx,
*.dwf,
*.asx,
*.m3u,
*.mlp,
*.xlt,
*.skp,
*.thmx,
*.c4d,
*.wvx,
*.lrf,
*.onepkg,
*.qfx
*.dib,
*.vssx,
*.hpgl,
*.m2v,
*.bz,
*.djvu,
*.sc7,
*.shar,
*.mhtml,
*.f4v,
*.vsdm,
*.sda,
*.sdd,
*.afp,
*.vssm,
*.ggb,
*.m1v,
*.tsv,
*.vstm,
*.vstx,
*.str,
*.psb,
*.wbmp,
*.fh9,
*.dotm,
*.dotx,
*.xlsb,
*.dcs
*.frm,
*.sql,
*.prc,
*.pro,
*.rdf,
*.nb,
*.bas,
*.xpm,
*.pic,
*.ini,
*.pot,
*.as,
*.pub,
*.xbm,
*.scd,
*.pl,
*.pp,
*.x3d,
*.ma,
*.rb
*.txt,
*.cdf,
*.html,
*.xsd,
*.eps,
*.mat,
*.csv,
*.xml,
*.nc,
*.htm,
*.eml,
*.json,
*.svg,
*.asc,
*.kml,
*.mod,
*.raw,
*.ps
*.blw,
*.jgw,
*.aih,
*.sqlite3,
*.jpgw,
*.tifw,
*.sbn,
*.db3,
*.pgw,
*.bpw,
*.bilw,
*.ifc,
*.p21,
*.tfw,
*.rv
*.aes,
*.r00,
*.dft,
*.df1,
*.cca,
*.gvi,
*.ain,
*.bip,
*.cph,
*.rdata,
*.s01,
*.ovr,
*.rev,
*.ixs,
*.rat
*.odm,
*.med,
*.m4p,
*.shn,
*.669,
*.mt2,
*.hdp,
*.dff,
*.ult,
*.cpg,
*.dmf,
*.sou,
*.aa,
*.fla,
*.flt
*.dng,
*.midi,
*.jpeg,
*.mp2,
*.epsf,
*.mj2,
*.otg,
*.dir,
*.fits,
*.mjp2,
*.jpm,
*.jpf,
*.jpe,
*.svgz
*.ditamap,
*.xul,
*.properties,
*.dita,
*.cfml,
*.aspx,
*.wsdl,
*.grxml,
*.fst,
*.ada,
*.cls,
*.me,
*.fnc,
*.pls
*.php,
*.js,
*.hx,
*.java,
*.yaml,
*.lsp,
*.for,
*.bat,
*.dot,
*.f,
*.f90,
*.py
*.accdb,
*.dls,
*.step,
*.xpt,
*.btw,
*.e01,
*.por,
*.stp,
*.ac3,
*.l01
*.dta,
*.icb,
*.mks,
*.vda,
*.sbx,
*.jls,
*.gen,
*.warc,
*.siard,
*.mk3d
*.frt,
*.pde,
*.ttl,
*.cql,
*.f03,
*.rhtml,
*.axd,
*.nsi,
*.f95,
*.rmd
*.epi,
*.ige,
*.df3,
*.ddf,
*.qtif,
*.thf,
*.id,
*.wow
*.plt,
*.gbr,
*.sld,
*.pm6,
*.ct,
*.plist,
*.nut,
*.ts
*.j2c,
*.m4b,
*.ifb,
*.jfi,
*.epsi,
*.stk,
*.otc,
*.jfif
*.cob,
*.geo,
*.pod,
*.sig,
*.aw,
*.plb
*.odc,
*.kdc,
*.pef,
*.srf,
*.aac
*.atx,
*.ief,
*.fgd,
*.qt
*.dts,
*.amr,
*.opf,
*.sgm
*.frx,
*.fp,
*.dwl,
*.sty
*.gdb,
*.las,
*.gml
*.xsl,
*.jsp,
*.asp
*.ncx,
*.mxs,
*.exp
*.xm,
*.pat,
*.arc
*.tab,
*.j
*.xht,
*.xhtml
*.nit
*.jsonld
*.ppsx
*.mbox
*.css